• hlooho_banner_01

MOXA ICS-G7528A-4XG-HV-HV-T 24G+4 10GbE-port Layer 2 Full Gigabit Managed Industrial Ethernet Switch

Tlhaloso e Khutšoanyane:

Ts'ebetso ea othomathike le lits'ebetso tsa lipalangoang li kopanya data, lentsoe le video, ka hona li hloka ts'ebetso e phahameng le ts'epahalo e phahameng.Li-switch tsa ICS-G7528A Series tse felletseng tsa Gigabit li na le likou tse 24 tsa Gigabit Ethernet hammoho le likou tse fihlang ho tse 4 10 tsa Gigabit Ethernet, tse li etsang hore e be tse loketseng marang-rang a maholo a indasteri.

Bokhoni ba Gigabit bo felletseng ba ICS-G7528A bo eketsa bandwidth ho fana ka ts'ebetso e phahameng le bokhoni ba ho fetisa livideo, lentsoe le data ka potlako marang-rang.Li-switch tse se nang fan li tšehetsa theknoloji ea Turbo Ring, Turbo Chain, le RSTP/STP ea ho fokotsa chelete, 'me e tla le phepelo ea motlakase e sa sebetseng ho eketsa ts'epo ea sistimi le ho fumaneha ha mokokotlo oa marang-rang a hau.


Lintlha tsa Sehlahisoa

Li-tag tsa Sehlahisoa

Likarolo le Melemo

 

Likou tse 24 tsa Gigabit Ethernet hammoho le likou tse fihlang ho 4 10G Ethernet

Ho fihla ho likhokahano tse 28 tsa fiber optical (SFP slots)

Ha e na fan, -40 ho isa ho 75°C mocheso o sebetsang o fapaneng (mefuta ea T)

Turbo Ring le Turbo Chain (nako ea ho hlaphoheloa <20 ms @ 250 switches)1, le STP/RSTP/MSTP bakeng sa khaello ea mesebetsi ea marang-rang

Lisebelisoa tsa motlakase tse sa sebetseng tse ikhethileng tse nang le mefuta e mengata ea phepelo ea motlakase ea 110/220 VAC

E ts'ehetsa MXstudio bakeng sa taolo e bonolo, e bonoang ea marang-rang ea indasteri

V-ON™ e netefatsa hore data ea multicast ea boemo ba millisecond le ho hlaphoheloa ha marang-rang a video

Likarolo tse Eketsehileng le Melemo

 

•Command line interface (CLI) bakeng sa ho lokisa kapele mesebetsi e meholo e laoloang
•DHCP Option 82 bakeng sa kabelo ea aterese ea IP ka maano a fapaneng
•E tšehetsa liprothokholo tsa EtherNet/IP, PROFINET, le Modbus TCP bakeng sa taolo ea lisebelisoa le ho beha leihlo.
•GMP snooping le GMRP bakeng sa ho sefa sephethephethe sa multicast
• IEEEE 802.1Q VLAN le GVRP protocol ho nolofatsa moralo oa marang-rang
•QoS (IEEE 802.1p/1Q le TOS/DiffServ) ho eketsa boikemisetso
• Temoso e iketsang ka mokhelo ka lengolo-tsoibila le tlhahiso ea relay
• Lisebelisoa tsa dijithale bakeng sa ho kopanya li-sensor le lialamo ka marang-rang a IP
•Port Trunking bakeng sa tshebediso e ntle ya bandwidth
•TACACS+, SNMPv3, IEEE 802.1X, HTTPS, le SSH ho matlafatsa tshireletso ya marangrang
•Lenaneo la taolo ea phihlello (ACL) le eketsa maemo le polokeho ea taolo ea marang-rang (ICS-G7800A Series)
•SNMPv1/v2c/v3 bakeng sa maemo a fapaneng a taolo ea marang-rang
•RMON bakeng sa ho beha leihlo marang-rang ka matla le ka nepo
• Taolo ea bandwidth ho thibela maemo a marang-rang a sa lebelloang
• Notlela boema-kepe bakeng sa ho thibela phihlello e sa dumellwang ho latela aterese ya MAC
• Port mirroring bakeng sa debugging Inthaneteng

Ethernet Interface

10/100/1000BaseT(X) Ports (RJ45 connector)

 

ICS-G7528A-4XG-HV-HV-T: 20

ICS-G7528A-8GSFP-4XG-HV-HV-T: 12

 

100/1000BaseSFP Ports

 

ICS-G7528A-8GSFP-4XG-HV-HV-T: 8

ICS-G7528A-20GSFP-4XG-HV-HV-T: 20

 

10GbE SFP+ Slots

 

4
Combo Ports (10/100/1000BaseT(X) kapa 100/

1000BaseSFP+)

 

4
Litekanyetso IEEE 802.1D-2004 bakeng sa Protocol ea Spanning Tree

IEEE 802.1p bakeng sa Sehlopha sa Tšebeletso

IEEE 802.1Q bakeng sa VLAN Tagging

IEEE 802.1s bakeng sa Protocol ea Multiple Spanning Tree

IEEE 802.1wfor Rapid Spanning Tree Protocol

IEEE 802.1X bakeng sa netefatso

IEEE802.3for10BaseT

IEEE 802.3ab bakeng sa1000BaseT(X)

IEEE 802.3ad bakeng sa Port Trunkwith LACP

IEEE 802.3u bakeng sa 100BaseT(X) le 100BaseFX

IEEE 802.3x bakeng sa taolo ea phallo

IEEE 802.3z bakeng sa1000BaseSX/LX/LHX/ZX

IEEE 802.3aefor10 Gigabit Ethernet

 

 

Matla a Parameters

Kenya Voltage 110to 220 VAC, Maemo a sa hlokahaleng a mabeli
Voltage e sebetsang 85 ho isa ho 264 VAC
Tšireletso e Felletseng ea Hona Joale E tšehelitsoe
Khutlisetsa Tšireletso ea Polarity E tšehelitsoe
Kenya Hona Jwale 1/0.5A@110/220VAC

 

Litšobotsi Tsa 'Mele

Boemo ba IP IP30
Litekanyo 440 x44x 386.9 mm (17.32 x1.73x15.23 ho)
Boima ba 'mele 6470g(14.26 lb)
Ho kenya Ho kenya rack

Meeli ea Tikoloho

Mocheso oa ho sebetsa -40 ho isa ho 75°C (-40 to167°F)
Thempereichara ea polokelo (pakete e kenyelelitsoe) -40 ho isa ho 85°C (-40 to185°F)
Ambient Relative Humidity 5 ho95%(ha e fokotsehe)

MOXA ICS-G7528A-4XG-HV-HV-T Mehlala e Teng.

Mohlala 1 MOXAICS-G7528A-4XG-HV-HV-T
Mohlala 2 MOXAICS-G7528A-8GSFP-4XG-HV-HV-T
Mohlala 3 MOXAICS-G7528A-20GSFP-4XG-HV-HV-T

  • E fetileng:
  • E 'ngoe:

  • Ngola molaetsa wa hao mona mme o re romele wona

    Lihlahisoa tse amanang

    • MOXA NPort 5630-8 Industrial Rackmount Serial Device Server

      MOXA NPort 5630-8 Industrial Rackmount Serial D...

      Likarolo le Melemo Maemo a 19-inch rackmount boholo bo bonolo ba aterese ea IP e nang le phanele ea LCD (ntle le mefuta ea mocheso o pharaletseng) Hlophisa ka Telnet, sebatli sa marang-rang, kapa mekhoa ea Socket ea Windows: Seva ea TCP, moreki oa TCP, UDP SNMP MIB-II bakeng sa taolo ea marang-rang. Universal high-voltage Range: 100 ho 240 VAC kapa 88 ho 300 VDC Mefuta e tummeng ea motlakase o tlase: ± 48 VDC (20 ho 72 VDC, -20 ho -72 VDC) ...

    • MOXA EDS-505A 5-port Managed Industrial Ethernet Switch

      MOXA EDS-505A 5-port Laged Industrial Etherne...

      Likarolo le Melemo Turbo Ring le Turbo Chain (nako ea ho hlaphoheloa <20 ms @ 250 switches), le STP/RSTP/MSTP bakeng sa ho hloka marang-rang TACACS+, SNMPv3, IEEE 802.1X, HTTPS, le SSH ho ntlafatsa ts'ireletso ea marang-rang Taolo e bonolo ea marang-rang ka sebatli sa marang-rang. , CLI, Telnet/serial console, Windows utility, le ABC-01 E ts'ehetsa MXstudio bakeng sa taolo e bonolo, e bonoang ea marang-rang a indasteri ...

    • MOXA NPort 5150A Seva ea Sesebelisoa sa Kakaretso ea Indasteri

      MOXA NPort 5150A Seva ea Sesebelisoa sa Kakaretso ea Indasteri

      Likarolo le Melemo Tšebeliso ea matla ea 1 W Fast 3-step configuration e thehiloeng marang-rang e thehiloeng ts'ireletso ea ts'ireletso bakeng sa serial, Ethernet, le matla a COM port grouping le UDP multicast lik'hokelo tsa motlakase bakeng sa ho kenya ts'ireletso e bolokehileng ea Real COM le li-driver tsa TTY tsa Windows, Linux. , le macOS Standard TCP/IP segokanyimmediamentsi sa sebolokigolo le mekhoa ea ts'ebetso ea TCP le UDP e tenyetsehang e Hokela ho fihla ho mabotho a 8 a TCP ...

    • MOXA EDS-405A-MM-SC Layer 2 E laoloang Industrial Ethernet Switch

      MOXA EDS-405A-MM-SC Layer 2 ea Indasteri e Laolehileng ...

      Likarolo le Melemo ea Turbo Ring le Turbo Chain (nako ea ho hlaphoheloa<20 ms @ 250 switches), le RSTP/STP bakeng sa tlhokeho ea marang-rang IGMP Snooping, QoS, IEEE 802.1Q VLAN, le VLAN e thehiloeng boema-kepeng e tšehetsoeng ke taolo e bonolo ea marang-rang ka sebatli sa marang-rang, CLI, Telnet/serial console, Windows utility, le ABC -01 PROFINET kapa EtherNet/IP e nolofalitsoe ka ho sa feleng (mefuta ea PN kapa EIP) E ts'ehetsa MXstudio bakeng sa manane a marang-rang a bonolo, a bonoang ...

    • MOXA EDS-208A-MM-SC 8-port Compact Unmanaged Industrial Ethernet Switch

      MOXA EDS-208A-MM-SC 8-port Compact Ha e Laoloe Ka...

      Likarolo le Melemo 10/100BaseT(X) (RJ45 connector), 100BaseFX (multi/single-mode, SC kapa ST connector) Redundant dual 12/24/48 VDC power inputs IP30 aluminium aluminium moralo oa Rugged hardware o loketseng hantle bakeng sa libaka tse kotsi (Sehlopha 1 Div. 2/ATEX Zone 2), lipalangoang (NEMA TS2/EN 50121-4/e-Mark), le libaka tsa leoatle (DNV/GL/LR/ABS/NK) -40 ho isa ho 75°C sebaka sa mocheso o sebetsang (- T mehlala) ...

    • MOXA MDS-G4028-T Layer 2 Layer 2 Laola Industrial Ethernet Switch

      MOXA MDS-G4028-T Layer 2 Managed Indust...

      Likarolo le Melemo Mefuta e mengata ea li-interface tsa mofuta oa 4-port bakeng sa ho feto-fetoha ho hoholo Moralo o se nang lisebelisoa bakeng sa ho eketsa kapa ho fetola li-module ntle le ho koala switch ea Ultra-compact size le likhetho tse ngata tsa ho kenya lisebelisoa tse feto-fetohang Passive backplane ho fokotsa boiteko ba tokiso. bakeng sa ts'ebeliso ea maemo a thata ka mokhoa o hlakileng, sehokelo sa webo se thehiloeng ho HTML5 bakeng sa boiphihlelo bo se nang mathata...